半导体周报1224-半导体之HBM(二)

创建时间:2023-12-25 08:21

半导体周报-1224

一、行业新闻及动态:

1、半导体设计:

《科创板日报》13日讯,东芝宣布,已完成可支持半导体设计的AI研发,能让原本耗时一周到一年的设计流程,缩短到一日以内完成,且效能明显提高,将在2024年3月底前正式采用,进行次世代功率半导体研发。

 

2、半导体设备:

科创板日报》12日讯,半导体切割设备制造商迪思科(DISCO)开发出了车用节能半导体的新型切割机,速度是过去的10倍。据悉,碳化硅(SiC)作为半导体材料的功率效率很高,但材质偏硬很难加工,新设备的研发将确立新一代功率半导体的量产技术,有望推动纯电动汽车的普及。目前,迪思科已开始面向部分客户供货,将在订单增加之后正式量产。

 

3、半导体制造及封测:

《科创板日报》11日讯,业内人士透露,日本住友化学子公司东友精密化学(Dongwoo Fine-Chem)向韩国半导体企业表示,由于原材料价格上涨,拟提高氟化氪(KrF)和L线光刻胶价格,增幅因产品而异,约为10%-20%。

 

《科创板日报》14日讯,美光公司日本负责人Joshua Lee在SEMICON Japan 2023展会表示,美光位于日本广岛的工厂将于2025年生产最先进的存储芯片“1γ(Gamma)DRAM”。他表示,美光将成为第一家将EUV光刻机引入日本的半导体企业。预计1γ DRAM将使用EUV光刻机进行制造。

 

4、其他:

财联社12月13日电,韩国总统办公室13日透露,正在荷兰进行国事访问的韩国总统尹锡悦当天将同荷兰首相马克·吕特举行首脑会谈并发表联合声明,宣布两国正式结为“半导体同盟”,设立经济、安全、产业领域的双边协商机制。两国通过发表首脑联合声明建立半导体同盟,对加强芯片合作的目标、意义和方法等进行了细化,商定保持技术差距、共同突破供应链危机。为此,两国外交部门将建立每年定期举行的经济安全对话机制。此外,两国商务部门还将新设对话机制协调芯片政策,并建立基于关键品目供应链合作谅解备忘录的供应链协商机制。        

 

《科创板日报》12日讯,CINNO Research统计数据表明,2023年第三季度全球半导体设备厂商市场规模Top10营收合计超250亿美元,同比下降9%,环比增长3%。第三季度,荷兰公司阿斯麦营收约71亿美元,连续三季度超过美国公司应用材料,排名Top1;美国公司应用材料营收约63亿美元,排名第二;美国公司泛林排名重回第三;日本公司TokyoElectron跌出前三,排名第四;美国公司科磊稳居第五;从营收金额来看,三季度前五大设备商的半导体业务的营收加总已超过220亿美元,占比Top10营收合计的88%。

 

二、本周话题——半导体之HBM(二)

产业链分析:

        HBM 产业链工艺流程包括晶圆测试、中段制造、后段封测等环节。目前,SK Hynix、Samsung 等厂商 HBM 产业链中承担前道晶圆厂和中道封测厂的角色,台积电等厂商承担后道封测厂的角色。SK Hynix、Samsung、台积电三家企业在产业链中最具地位。目前国内厂商则主要处于上游材料领域,考虑到 AI 对整个存储产业链的拉动,叠加行业需求持续复苏、国产自主可控需求持续提升,国内存储及HBM 等催生的先进封装产业链发展空间巨大。

HBM产业链图

img1

资料来源:各公司公告,中金研究部

(一)、封测端:

HBM 采用 2.5D+3D 封装,核心工艺包括 TSV、CoWoS 等。HBM 采用 2.5D+3D 封装工艺,采用的核心封装工艺包括 Bumping、RDL、FC、TSV、CoWoS等。封装工艺主要有四项功能:①保护芯片免受外部冲击或损坏;②将外部电源传输至芯片,保证芯片的正常运行;③为芯片提供线路连接,以便执行信号输入和输出操作;④合理分配芯片产生的热量,确保其稳定运行。HBM 采用“2.5+3D”封装工艺,即分别在 DRAM Die 上和硅中介层上制作 TSV,主要使用的封装工艺如下:

1)HBM 由 DRAM Die 堆叠而成,Die 之间借助 TSV(硅通孔)和微凸块(microbump)相连,并借助TC(Thermal Compression,热压)-NCF(non-conductive film,非导电薄膜)或 MR-MUF(批量回流模制底部填充)技术,用 NCF 或 LMC(Liquid Molding Compound,液态塑封料)对芯片形成互连和保护;2)HBM 通过 TSV 和微凸块连接下方的 HBM 逻辑控制 die(Base Die),逻辑控制 die 再通过凸块(μbump)连接下方的硅中介基板(Si interposer)3)HBM Stack 通过 CoWoS 等 2.5D 封装工艺,和 CPU/GPU 等并排铺设在硅中介基板上方,CPU/GPU 等逻辑 die 采用倒片封装(FC)形式和硅中介基板连接,存储器和 GPU 等逻辑芯片之间通 RDL 实现通信;4)硅中介基板通过 2.5DTSV 工艺和 Cu Bump 连接至封装基板(Package Substrate)上;5)最后,封装基板通过封装锡球(package balls)和下方的 PCB 基板(Circuit Board)相连,这一过程采用传统的球栅阵列(Ball Grid Array BGA)封装工艺。

HBM结构图及用到的封装工艺

图片包含 日程表

描述已自动生成

资料来源:台积电,Wikichip,招商证券整理

(1)凸块制造(Bumping)工艺:是扇入/扇出、FC 等封装必要环节,推动沉积、涂胶显影、电镀等前道工艺需求增长。晶圆级封装采用凸块取代键合引线,凸块可以分布在整个芯片表面形成信号触点。凸块工艺即在晶圆切割成单个芯片之前,在晶圆上以整个晶圆的形式形成由焊料组成的“凸块”或“球”,这些凸块是芯片和基板互连形成单个封装的基本互连组件。传统的引线键合工艺中,接合焊盘/引脚放置在外围区域,但用于凸块的 I/O 焊盘可以分布在芯片的整个表面,每个凸块都是一个信号触点,从而可以缩小芯片尺寸并优化电气路径,因此凸块工艺广泛用于倒装芯片封装、扇入或扇出型封装工艺。

凸块分布在整个芯片表面                      凸块的微观结构

图片包含 图形用户界面

描述已自动生成

资料来源:Powertech,Faraday Tech,招商证券

        凸块工艺(Bumping)流程为制备 UBM 和形成凸块,主要使用 PVD 设备、涂胶显影机、电镀机、刻蚀机、回流炉等设备。在制作凸块前,首先需要在芯片表面需要生长凸块的区域制作凸块下金属(Under Bump Metallization,UBM),用于阻挡凸点金属扩散至下层金属焊盘中;在完成制备 UBM后,凸块的制备可以采用三种方式:电镀、印刷锡膏固化和植球方式,电镀方式形成铜柱等凸块,在电镀焊料、去除光刻胶和刻蚀掉溅射金属后,通过回流进行固化;印刷锡膏固化方式通过将含焊锡料的改性锡膏通过贴片、回流焊等加热固化;植球方式则通过植球机将预成型的凸块印制在 UBM 上。

铜柱凸块工艺流程

图示

描述已自动生成

资料来源:John Lau,欣兴微电子,招商证券

         (2)TSV工艺: 用于 DRAM Die 之间及 HBM 和 Interposer 之间的互联TSV 技术专为 2.5/3D 封装而生,能够以最低的能耗提供极高的带宽和密度。TSV(Through-SiliconVia)即硅通孔技术,是目前最先进的封装技术之一,与传统的 SIP 等封装技术相比,TSV 的垂直连接可以允许更多数量的连接,因此具备更好的电势能、更低的功耗、更宽的带宽、更高的密度、更小的外形尺寸、更轻的质量等优势,是实现电路小型化、高密度、多功能化的首选解决方案。2.5/3D TSV 技术已经广泛用于 AI GPU 基板上的 HBM 中,DRAM 各层 Die 之间的连接采用 3D TSV 工艺,HBM 芯片和下方的金属凸块之间的连接采用 2.5D TSV 工艺。

        TSV 工艺价值量在 HBM3D 封装工艺中占比最高。3D TSV 是 HBM 堆叠工艺中成本占比最高的工艺,根据 3DinCites,考虑 4 层 DRAM Die 和 1 层逻辑 die 堆叠的 HBM 结构,在 99.5%和 99%的芯片键合die bonding)良率下,TSV 制造和 TSV 通孔露出工艺分别占其成本的 30%和 29%。

HBM 封装成本拆分(99.5%键合良率)HBM 封装成本拆分(99%键合良率)

img5

资料来源:3DInCites,方正证券研究所

        TSV 技术主要涉及深孔刻蚀、沉积、减薄抛光等关键工艺。首先利用深反应离子刻蚀(DRIE)法行成通孔;使用化学沉积的方法沉积制作绝缘层、使用物理气相沉积的方法沉积制作阻挡层和种子层;选择一种电镀方法在盲孔中进行铜填充;使用化学和机械抛光(CMP)法去除多余的铜。完成铜填充后则需要对晶圆进行减薄;最后进行晶圆键合。从成本来看,TSV 工艺中通孔蚀刻占比最高,为 44%,其次为通孔填充和减薄,分别为 25%和 24%。此外,HBM 后续还需通过创建 KGSD 来完成硅通孔芯片的堆叠封装。

        TSV 通孔填充对性能至关重要,铜为主流填充材料。TSV 加工流程包括孔成型、沉积绝缘层、减薄、电镀、CMP 等。其中,TSV 的通孔填充技术难度较大,会直接影响电学性能和可靠性。铜凭借其超低电阻率和成本,被认为是最合适的填充材料。目前电镀设备的主要供应商包括安美特、东京电子、Ebara 等。电镀液的供应商包括陶氏、安美特等。

 

TSV 工艺流程

img6

资料来源:SK海力士官网,浙商证券研究所

        (3)CoWoS 用于 AIGPU 的整体封装,2024 年 CoWoS 需求翻倍以上增长HBM 和 GPU 等芯片采用 TSMC CoWoS 技术进行整体封装,能够减少封装体积和功耗等。目前立体封装主要包括 2.5/3D 封装,2.5D 封装主要指将存储、记忆体等其他芯片,并排铺设在硅中介板(Silicon Interposer)上,经过微凸块(Micro Bump)连接,以实现硅中介板上不同芯片之间的通信;再通过硅穿孔(TSV)来连接下方的金属凸块,再由导线载板连接外部;3D 封装致力于实现芯片如HBM 中 DRAM Die 的垂直堆叠。目前,英伟达 AIGPUDGXA100、H100、H200 等均采用台积电的CoWoS(Chipon Wafer on Substrate)技术,首先将芯片通过 CoW(Chip on Wafer)工艺连接至硅中介层(Interposer),再通过 OS(On Subtrate)工艺将 CoW 芯片和基板连接,整合成 CoWoS。

        (4)FC 工艺用于逻辑 die 与硅中介层连接,相较传统引线键合方式大大缩短信号路径倒片封装(FilpChip,FC)将芯片翻转,在先进封装工艺中取代引线键合。倒装键合(Flip ChipBonding)指通过在芯片顶部形成凸点,并将芯片倒转过来,实现芯片的有源区域和基板间的电气和机械连接。倒片封装在先进封装工艺中取代引线键合,主要系①引线键合对于可进行电连接的输入/输出(I/O)引脚的数量和位置有限制,而倒片封装不存在此种限制;②倒片封装的电信号传输路径短于引线键合。在引线键合方法中,金属焊盘在芯片表面采用一维方式排列,无法出现在芯片边缘或中心位置,而倒片键合方法在键合至基板或形成焊接凸点的过程中不存在任何工艺方面的限制,因此在倒片封装中,金属焊盘可以采用二维方式全部排列在芯片的一个侧面,增加金属焊盘的数量;另外,用于形成凸点的焊盘可以布置在芯片顶部的任何位置,用于供电的焊盘可以布置在靠近需要供电的区域,进一步提升电气性能。

        FC 封装采用回流焊或热压工艺形成芯片上的凸点与基板上的焊盘连接。倒装封装首先将焊球附着在芯片焊盘上,再将芯片正面朝下放置在基板上,焊球通过回流焊(Reflow)或热压缩(Thermo Compression)工艺实现和基板的连接。回流焊工艺是倒装封装中最主流的工艺,又称为 C4 工艺(Controlled Collapse Chip Connection),通过在回流炉的高温下融化结合处的凸点;热压缩工艺通过向接合处施加热量和压力,一般用于 I/O 密度更高的铜柱凸点。热压工艺的成本高、装片工艺也要求更高的精度,导致目前耗时较长。

倒装键合回流焊工艺流程

img7

资料来源:《集成电路产业全书》,招商证券

 

(二)、设备端

        HBM 中大量增加前道工序,前道检、量测设备主要增量来自微凸点、TSV、硅中介层等工艺,另外HBM 中增加的预键合晶圆级测试和 KGSD 相关的封装级测试也带动分选机、测试机、探针台等后道测试设备的数量和精度提升;HBM 堆叠结构增多,要求晶圆厚度不断降低,进而提升减薄、键合等设备需求;HBM 多层堆叠结构要求超薄晶圆和铜-铜混合键合工艺,增加了临时键合/解键合以及混合键合设备需求,各层 DRAM Die 的保护材料也非常关键,对注塑或压塑设备提出较高要求;另外,诸如划片机、固晶机、回流焊机/回流炉等传统设备需求也均受益于 HBM 封装带来的工艺步骤提升和工艺变革带来的价值量提升。

        1)前道检/量测:HBM 中全部的 DRAM Die 均需要 100%检测,并且由于 HBM 中的铜凸点、铜柱、TSV、UBM(凸点下金属层)的结构极其复杂,其质量影响整个 HBM 及 CoWoS 封装的良率,因此需 要对每个关键结构的大小、情况、精确程度等进行量测,此环节使用的设备包括前道检测和量测设备;

2)凸块(Bump)制造:介于前道晶圆制造和后道封装工艺之间,是扇入(Fan-in)封装、芯片级封装(CSP)、系统级封装(SiP)、芯粒封装(Chiplet)等工艺的必要环节,TSV、晶圆级封装(WLP)等均是凸块制造工艺的延伸。在 HBM 中,微凸块(u Bump)用于 HBM 之间 DRAM Die、HBM 和硅中介层之间的连接,C4Cu 凸点用于硅中介层和封装基板之间的连接,实现电气互连和应力缓冲。如前文所述,Bumping 工艺主要使用 PVD 设备、涂胶显影机、电镀机、刻蚀机、植球机、回流炉等设备;

3)TSV 制造:如前文所述,TSV 工艺主要包括前段的通孔成型工艺和中段的通孔露出和背面金属化工艺,使用的设备主要包括刻蚀机、PECVD、PVD、电镀机、减薄机、CMP、键合机等;

4)硅中介层(Interposer)制造:主要包括形成 TSV、双面 RDL 和凸块制造、临时键合和解键合、晶圆减薄、切片等工序。RDL(Redistribution Layer,重新分配层)是额外金属布线层,通过重新排列I/O 焊盘,满足封装工艺更复杂的功能需求,例如将 HBM 堆栈与 SoC 等逻辑芯片相连。凸块工艺可以看做是点与点的连接,RDL 工艺则是面阵连接,RDL 制备使用的设备与凸块类似,主要包括光刻机、刻蚀机、溅射、CVD、电镀设备等,完整的硅中介层制造还主要需要临时键合/解键合机、背面减薄机等;

5)后道封装:传统封装工艺流程包括晶圆背面减薄、划片/切割、贴片/固晶、互连、模塑、激光打标、切筋成型等步骤,HBM 的多层堆叠结构显著提升晶背减薄、键合等设备的需求,并且对注塑机提出更高要求;

6)后道测试:在晶圆制造之后、封装开始之前,需要首先使用探针台、测试机等将不良芯片剔除,探针台接触裸芯片上的 PAD 点,并连接测试机完成测试过程,再将不良芯片进行打点标记,形成晶圆Map 图;在封装完成后,需要分选机配合测试机进行成品芯片的终测,该环节主要使用的设备包括探针台、分选机、测试机;

7)板卡级组装和整机组装:为 HBM 封装的最后一道工序,主要将引脚通过 PCB 上的导线和其他器件建立连接,工艺涉及通孔插装技术、表面贴装技术等,为了实现 PCB 组装工艺,使用的设备主要包括焊膏涂覆设备、丝网印刷机、点胶机、贴片机、回流炉、清洗机、自动光学检测设备等;为了提供 PCB基板,使用的设备主要包括真空层压机、钻孔机、通孔电镀设备、涂胶机、光刻机、显影机、刻蚀机、丝网印刷机、电镀铜设备、自动光学检测仪等。

HBM 工艺流程及设备需求

img8

资料来源:SK 海力士,Yole、《集成电路全书》等,招商证券整理

 

三)、材料端:

        (1):环氧塑封料:用于保护芯片,GMC 和 LMC 及原材料均受益于 HBM 需求增长EMC起到保护芯片的功能,在传统和先进封装中均广泛应用。环氧塑封料(Epoxy Molding Compound,简称 EMC)全称为环氧树脂模塑料,属于包装材料,是用于半导体封装的一种热固性化学材料,由环氧树脂为基本树脂,以高性能酚醛树脂为固化剂,加入硅微粉等填料,以及添加多种助剂加工而成,主要功能是保护半导体芯片不受外界环境(水汽、温度、污染等)的影响,并实现导热、绝缘、耐湿、耐压、支撑等复合功能。EMC 在传统引线键合封装和先进封装中均广泛应用,FOWLP、多层堆叠封装对塑封料提出了更高的性能要求。

EMC 应用场景

图形用户界面

中度可信度描述已自动生成

资料来源:华海诚科招股书,招商证券

        EMC 的填充料成本占比最高,主要无机填料为球形硅微粉和球形氧化铝。目前常见的环氧塑封料主要组成为填充料(60-90%)、环氧树脂(18%以下)、固化剂(9%以下)、添加剂(约 3%)。在先进封装中,环氧塑封料的主要要求为高耐潮、低应力、低α射线、耐浸焊和回流焊,需要保证塑封性能好,因此环氧塑封料必须在无机树脂基体内掺杂无机填料,现有的无机填料基本均为二氧化硅球形微粉,具有降低塑封料的线性膨胀系数。增加热导,降低介电常数,环保、阻燃,减小内应力,防止吸潮,增加塑封料强度,降低封装料成本等作用。另外,针对HBM 封装等高导热存储芯片封装领域,颗粒封装材料(GMC)中一般将 TOP CUT20um 以下球形硅微粉和 Low α球形氧化铝复配混用,散热要求越高的场景,Low-α球铝的占比会越高。

        2021 年国内 EMC 市场规模约 66 亿元,HBM 带动 EMC 和球形硅微粉等市场增长。根据华海诚科招股书,2021 年中国包封材料市场规模为 73.6 亿元,EMC 占比大约 90%,即市场规模大约 66.24 亿元。在传统封装领域,内资 EMC 厂商份额逐渐提升,在 SOP、QFP 等领域仍存在一定替代空间;在先进封装领域,市场份额基本被住友电木、蔼司蒂、京瓷等外资厂商占据,内资厂商多处于客户验证阶段,少数厂商产品实现小批量产。

        (2)电镀液:电镀液及添加剂主要用于铜互联工艺,全球市场空间接近 10 亿美元。电镀液在 IC 制造和先进封装中用于铜互联工艺,该工艺贯穿整个芯片制造过程,随着先进封装对镀铜材料需求快速增加,大马士革铜互联、先进封装凸块电镀(Cu Pillar/Bump/RDL/UBM)、硅通孔(TSV)电镀等材料市场不断扩大,在先进芯片中互联材料首尾连接可长达约 30 英里。根据 TECHCET,铜互联材料是电镀材料最大的细分市场,2022 年全球半导体用电镀材料市场规模约 10.2 亿美元,预计到 2026 年增加至 13.8 亿美元。

IC 制造工艺中金属布线用于连接电子元器件层,铜互联采用电镀方式实现铜的填充。IC 最初采用铝作为导体,二氧化硅作为绝缘体来构造互联层,整个互联过程从在晶圆表面沉积铝开始,然后通过选择性刻蚀形成布线图案,沉积氧化物绝缘体,并利用 CMP 使晶圆表面平坦化;随着器件特征尺寸缩小,越来越薄的铝线无法实现所需的速度和电性能,铜互联结构逐步取代铝互联;然而由于铜不易形成挥发性化合物,因此使用等离子干法刻蚀铜的方法并不可行,因此工程师选择采用大马士革铜互联镶嵌工艺(即借鉴大马士革的珠宝行业,先在基底金属上刻蚀图案,再将贵金属嵌入图案中),先沉积和刻蚀电介质材料,再将铜填充到图案之中。为了实现高深宽比图案特征,必须采用电镀而非 PVD 或 CVD 来填充铜金属。

铝互联和铜互联需要的不同工艺流程

img10

资料来源:SK 海力士、招商证券整理

        HBM 引入铜互连工艺,电镀液主要用于形成铜柱凸块、TSV 等结构。由于 HBM中需要的 Bumping、RDL、TSV 等先进封装工艺引入前道电镀工艺环节,自然带来电镀液需求提升。1)在 Bumping 工艺中,电镀步骤位于涂胶显影工艺环节之后,用于制备一定厚度的金属层作为 UBM;2)在 RDL 工艺中,每层 RDL 布线均需要电镀来形成铜凸块;3)TSV 技术的核心是在晶圆上打孔,并在硅通孔中进行镀铜填充,从而实现晶圆的互联和堆叠,在无需继续缩小芯片线宽的情况下,提高芯片的集成度和性能。和芯片制造铜互连工艺相比,TSV 电镀的尺寸更大,通常需要更长的沉积时间、更高的电镀速率以及多个工艺步骤,铜互连电镀液及添加剂成本占 TSV 工艺的总成本比重也更高。

        3、PSPI:聚酰亚胺具有最高的阻燃等级,高端光敏聚酰亚胺主要用作光敏光刻胶和先进封装树脂。聚酰亚胺(Polymide,PI)指分子结构主链中含有酰亚胺结构的高分子聚合物,高性能 PI 的主链大多以芳环和杂环为主要结构单元。PI 具有最高的阻燃等级(UL-94),良好的电气绝缘性能、机械性能、化学稳定性、耐老化性能、耐辐照性能、低节点损耗等,这些性能在很宽的温度范围(-269℃-400℃)内不会发生显著变化。光敏聚酰亚胺(Photosensitive Polyimide,PSPI)是一类在高分子链上兼有亚胺环和光敏基因,具备良好的感光性能,主要用于光刻胶和电子封装领域。

        在 HBM 中,PSPI 主要用于硅中介层的 RDL 等。在 WLCSP 封装中,为了缓解焊球凸点和再布线层对芯片产生的应力,会在芯片表面和再布线层表面涂覆一层高分子薄膜材料,成为再钝化层(Repassivation Layer)。目前,再钝化层材料除了 PSPI 外,还包括聚苯并咪唑(Polybenzoxazole,PBO)和苯并环丁烯(Benzocyclobutene,BCB)。

CoWoS 工艺 RDL 布线中的 PSPI

img11

资料来源:台积电,招商证券

        (4)封装基板:封装基板(Package Substrate)可分为有机基板和陶瓷基板。有机基板由有机树脂和玻璃纤维布为主要材料,一般采用铜箔作为导体,有机树脂包括:环氧树脂(FR4)、BT 树脂(耐高温双马来酰亚胺三嗪)、PPE 树脂(聚苯醚树脂)、PI 树脂(聚酰亚胺树脂)等;陶瓷基板具备更好的机械和热性能,通常包括:HTCC、LTCC、氮化铝等。英特尔主导研发了一种以 ABF(Ajinomoto Build-up film)作为原材料的基板,相较于 BT 基板,ABF 材质可用于线路较细、高讯息传输的 IC,比如 CPU、GPU 等芯片。ABF 作为基板的优势在于,铜箔基板上面附着 ABF 增厚薄膜就可以直接电镀铜来形成金属布线,不需要热压过程。

在 HBM 中,硅中介层一般采用 FC-BGA(球栅阵列封装)和下方封装基板相连,采用锡球来代替引线框架,锡球附着于基板底部,引线与基板顶部连接,基板中心位置由名为“芯板(Core)”的材料构成,通过将铜箔与浸渍过有机树脂的玻璃纤维粘合在一起,金属引线在铜箔表面形成,之后在铜箔上涂覆阻焊剂,露出作为保护层的金属焊盘/引脚。

 

行业现状:

HBM 等先进封装对工艺、设备、材料需求均提升,国内厂商在相关领域不断导入半导体先进封装尤其是 HBM CoWoS 封装核心增量来自 Bumping、TSV、RDL 等工艺,增量需求最大的设备主要为检/量测、减薄、电镀、键合、模塑机台,并且先进封装也为激光切割机、固晶机、回流炉、后道测试机/分选机/探针台等传统封装设备带来一定需求提升。

用于高端先进封装的设备份额主要为海外厂商占据,例如先进封装检/量测领域的 Camtek、ONTO;减薄领域的 DISCO;电镀领域的 AMAT、ASMPT;键合领域的 SUSS、EVG Group;塑封领域的TOWA、YAMADA 等;芯片贴装领域的 BESI 等。由于 AI 等领域需求旺盛,Camtek、BESI、SUSS 等厂商面向先进封装如 HBM 和异构集成、AI 应用的订单均创下历史新高,并仍在持续增长;用于高端先进封装的材料品类繁多,且更为分散,主要包括 IC 封装载板、ABF 基板层介电材料、制造封装基板核心层材料、环氧树脂固态封装材料、导线架、焊线材、底部填充剂等,市场份额大多被美国、日本、德国厂商占据,核心供应商包括日本住友化学、日本昭和电工、美国杜邦等。

AI 对 GPU 高带宽需求将催生 HBM 将近百亿美金市场,以 HBM 为代表的先进封装封测技术、设备和材料等需求有望持续提升。到 2024 年 HBM 有望营收至 89 亿美元。以 HBM 为代表的先进封装市场对封测技术、先进封装设备及材料需求持续增长,同时国内先进封装封测、设备、材料等产业链有望持续受益,国内厂商在相关领域不断导入。

 

大陆相关企业

设备:

中科飞测:金属膜厚设备批量出货,纳米图形缺陷检测和关键尺寸量测设备开发顺利。公司目前收入主要来自无图形和图形晶圆缺陷检测设备、三维形貌量测设备,套刻精度量测设备和金属膜厚量测设备成为收入新增长点,套刻精度量测设备在成熟工艺节点实现批量供应,在先进工艺产线已经通过部分客户验证,多台金属膜厚量测设备已实现批量出货。针对 2Xnm 节点,公司明场和暗场纳米图形晶圆缺陷检测设备、关键尺寸量测设备研发进展顺利;针对 1Xnm 节点,持续性研发布局多款检测和量测设备

华海清科:基于 CMP 技术自研 12 英寸减薄设备,量产机台已发往龙头客户端并获得先进存储、Chiplet 封装等多个订单。2023 年 5 月 17 日,公司全新一代12 英寸超精密晶圆减薄机 Versatile-GP300 量产机台出机发往集成电路龙头企业,标志着公司自研的国产减薄设备批量进入大生产线。该款设备用于前道晶圆制造背面减薄工艺,是业内首次实现 12 英寸晶圆超精密磨削和 CMP 全局平坦化的有机整合集成设备,自主研发的超精密晶圆磨削系统稳定实现 12 英寸晶圆片内磨削 TTV<1um,达到了国内领先和国际先进水平。华海清科创新开发的CMP 多区压力智能控制系统,突破传统减薄机的精度限制,实现了减薄工艺全过程的稳定可控。Versatile-GP300 机台现已收获包括先进存储、Chiplet 封装等技术领域在内的多个订单,近期将陆续出机;另外,公司用于封装领域的 12 英寸超精密减薄机各项性能指标达到预期目标,已经发往客户端进行验证。

 

材料:

鼎龙股份:半导体用精抛光垫可用于 Grinding(晶背研磨)等制程,部分产品在客户端批量使用,预计明年实现产销量快速增长;多晶硅抛光液、金属铝/钨栅极抛光液导入客户、取得批量订单,有望在第四季度开始逐步放量,铜和阻挡层抛光液有望在第四季度取得批量订单;拥有 YPI、PSPI 产品,用于半导体显示领域;封装光刻胶已有两款产品在客户端测试,另有一款新立项的封装光刻胶产品正在客户端送样。

安集科技:CMP 铜及铜阻挡层抛光液持续放量,钨抛光液、基于氧化铈磨料的抛光液份额持续提升,介电材料抛光液、衬底抛光液客户进一步拓展;在电镀液及添加剂方面,公司完成了应用于集成电路制造及先进封装领域的产品系列平台的搭建,多款电镀液及添加剂产品在先进封装领域进入量产导入阶段。

 

封测:

长电科技:公司拥有晶圆级封装、倒装芯片互连、硅通孔(TSV)等多种技术,在2.5D 封装领域拥有成熟 MEOL TSV 集成经验。2022 年,公司认证通过 TSV 异质键合 3D SoC 的 fcBGA 技术;2023 年 1 月,公司 XDFOI Chiplet 高密度多维异构集成工艺实现量产,实现国际客户 4nm 节点封装产品出货,既有 TSV less,也有 TSV 方案,适用于 HBM 等芯片。

通富微电:公司多层堆叠 NAND Flash 及 LPDDR 封装实现稳定量产,同时在国内首家完成基于 TSV 技术的 3DS DRAM 封装开发。公司南通通富工厂三期工程稳步推进,并预计该先进封装生产线建成后,公司将成为国内最先进的 2.5D/3D先进封装研发及量产基地,实现国内在 HBM(高带宽内存)高性能封装技术领域的突破

 

免责声明:本文章不涉及投资建议,仅供分享观点所用。

参考资料:

方正证券:先进封装专题二:HBM 需求井喷,国产供应链新机遇

招商证券:AI 服务器存储量价齐升,算力需求推动 HBM 市场数倍增长

浙商证券:HBM 专题(一),算力强基要塞,CoWoS 封装国产